OCTANE — рабочая станция от SGI

Недавно мировой лидер в производстве вычислительной техники американская корпорация Silicon Graphics Inc. анонсировала компьютеры семейства O2. Теперь на суд взыскательного потребителя выносится ее очередная новинка - рабочая станция OCTANE.

Высокопроизводительная настольная вычислительная система отличается оригинальной архитектурой и мощной графикой. Она призвана повысить эффективность приложений при обработке больших потоков данных. Оценить достоинства архитектуры OCTANE поможет небольшой экскурс в историю эволюции аппаратных средств вычислительной техники.

Ни для кого не секрет, что за последнее десятилетие развитие микропроцессорных технологий привело к стремительному увеличению производительности кристаллов. Приблизительно каждые пять лет их вычислительная мощность возрастала в десять раз. В то же самое время параметры компьютеров, обеспечивающие внутренний обмен информацией, совершенствовались не так интенсивно. Скорость циркуляции потоков данных между компонентами системы повышалась медленнее - всего в два раза каждые пять лет.

Эти тенденции технического прогресса привели к постоянно расширяющемуся разрыву между вычислительными возможностями центрального процессора и способностью компьютера своевременно насыщать его информацией.

Большинство современных процессоров, графических акселераторов и иных устройств, если рассматривать их как отдельные компоненты вычислительной машины, обладают превосходными техническими характеристиками. Однако существующая архитектура компьютера оставляет их возможности невостребованными.

Начиная с пятидесятых годов топология компьютера базируется на одной или нескольких шинах. В соответствии с такой структурой все подсистемы, будь то графический адаптер, центральный процессор, память и т.д., обмениваются данными через единые информационные связи. На физическом уровне это набор проводов, соединяющих разъемы, в которые устанавливаются разнообразные контроллеры центральных и периферийных устройств.

В OCTANE функции такой шины выполняет так называемый семипортовый многоуровневый пакетный переключатель с перекрестными связями - seven port crossbar switch. Каждый логический элемент машины (процессор, графика, XIO-шина, подсистема ввода/вывода) обладает собственным шлюзом для подключения к одному из информационных каналов, динамически формирующихся в этом устройстве.

Ширина пропускания каждого из семи независимых каналов, образующих единое информационное пространство машины, в установившемся режиме составляет 1.2 Гбайт/с и достигает 1.6 Гбайт/с при кратковременных перегрузках. Для сравнения: самые совершенные персональные компьютеры с PCI-шиной обладают единственным каналом передачи данных с максимальной пропускной способностью не выше 266Мбайт/с.

Пакетный переключатель, обеспечивая прохождение информационных потоков, выполняет функции оптимальной диспетчеризации. Иными словами, каждая задача получает такой канал передачи, который ей нужен, а конвейеры центральных процессоров постоянно наполнены необходимыми данными.

Соединение типа "один-на-один", предоставляемое этим устройством, гарантировано от прерывания другим системным событием. При необходимости формируется сразу несколько каналов, которые не конфликтуют друг с другом и поддерживают одновременную передачу непрерывных потоков данных.

Более того, в пакетном переключателе на аппаратном уровне реализована функция расширения полосы пропускания канала. Для ее активизации программа выставляет флаг приоритета и устройство организует трафик, который полностью обслуживает потребности вычислительного процесса.

Наглядным примером может служить обработка сложной векторно-растровой трехмерной модели и одновременная передача двух независимых потоков некомпрессированого видео. При этом изображение на экране дисплея регенерируется плавно и в режиме реального времени.

Другая отличительная особенность архитектуры OCTANE - рациональное использование ресурсов оперативного запоминающего устройства компьютера. Потоки данных направляются от одной подсистемы в другую напрямую, минуя динамическую память, емкость которой варьируется от 64Мбайт до 2 Гбайт.

В таком режиме информация от видеомагнитофона, проходя через соответствующие преобразователи и декодеры, записывается на жесткий диск, файлы с текстурами подаются в графическую подсистему. В это же время центральный процессор и динамическая память, образуя виртуальную машину, непрерывно выполняют необходимые вычисления, а скорость обмена информацией достигает 1 Гбайт/с.

Станция OCTANE поставляется с одним или двумя 64-разрядными RISC-кристаллами MIPS R10000, работающими с тактовой частотой 175 или 195МГц. Она оснащается 64Кбайтами первичной кэш-памяти и 1 Мбайтом вторичной.

Двухкристальная конфигурация компьютера представляет собой симметричный многопроцессорной комплекс. Причем процессоры используют единое пространство оперативной памяти, выполненной по топологии S2MP, а операционная система поддерживает одновременный доступ к ее сегментам. Такое решение позволяет наиболее эффективно параллельно обслуживать несколько задач.

Подсистема массовой памяти рассчитана на скорость обмена 40 Мбайт /с. Она включает два высокопроизводительных контроллера Ultra SCSI, размещенных на материнской плате машины, и допускает применение как внутренних, так и внешних жестких дисков. С тремя внутренними винчестерами информационная емкость OCTANE составляет 27Гбайт.

OCTANE унаследовала мощную графику Indigo 2 IMPACT10000. Причем в новой машине расширены возможности, связанные с обработкой векторных, растровых и комбинированных объектов. Ее производительность на отдельных операциях в 50 раз выше, нежели аналогичный показатель компьютера Indigo 2 IMPACT10000.

В зависимости от модели графическая подсистема включает один или два геометрических сопроцессора производительностью до 960 MFLOPS (миллионов инструкций десятичной арифметики в секунду) каждый. Она оснащена и 32-битовым двойным кадровым буфером, поддерживающим функции удаления скрытых векторов или поверхностей.

Специализированный процессор и особая высокоскоростная текстурная память объемом до 4 Мбайт, устанавливаемые дополнительно, обеспечивают заливку 120 миллионов пикселов в секунду. Они на аппаратном уровне выполняют такие операции, как масштабирование, деформация и вращение растровых изображений.

Существуют три базовых модификации рабочей станции - OCTANE/SI, OCTANE/SSI и OCTANE/MXI, отличающиеся ресурсами графической подсистемы, которая может занимать один или два разъема скоростной XIO-шины.

OCTANE/SI, обладая одним геометрическим сопроцессором, удовлетворяет потребности твердотельного моделирования. При установке дополнительного модуля текстурной памяти она эффективно вычисляет и фотореалистические образы проектируемых объектов.

OCTANE/SSI оснащена уже двумя такими сопроцессорами и отличается от младшей модели удвоенной производительностью в операциях машинной геометрии. Наиболее полно ее характеристики реализуются при разработке комплексных твердотельных моделей сложной конфигурации и состава, при проведении исследований методами конечных элементов и при создании сценариев для трехмерной компьютерной графики и анимации. Дополнительно предусмотрена установка и текстурной памяти.

OCTANE/MXI, кроме того, оборудована специальной подсистемой реального времени, предназначенной для обработки динамических текстурированных объектов. Ее графический модуль занимает два разъема XIO-шины. Пожалуй, это единственный среди настольных компьютеров, на базе которого могут успешно создаваться тренажеры реального времени и системы виртуальной реальности.

В компьютере OCTANE достаточно широко представлены и мультимедийные функции, разработано несколько дополнительных адаптеров для обработки компрессированного и некомпрессированного видео в цветовой системе PAL/NTSC, аналогового и цифрового звука. Недорогой комплект, включающий OCTANE Digital Video board и O2 Digital camera, предназначен для проведения видеоконференций, работы в Internet и Intranet-сетях.

Контроллер OCTANE Compression рассчитан на профессионалов, применяющих компрессированное видео. Он поддерживает коэффициент сжатия 2:1, обеспечивает аппаратную синхронизацию со звуковой дорожкой и совместим с аналоговым видеооборудованием, оснащенным коммутационными цепями стандартов Composite и Y/C.

Плата OCTANE Digital Video предназначена для обработки высококачественного некомпрессированного цифрового видео спецификаций SMPTE 259M, CCIR-601. Разрядность каждого из трех цветовых RGB-каналов составляет 8 или 10 бит, а пространственное разрешение выборки находится в пределах от 4:2:2 до 4:4:4:4.

Адаптер оснащен двумя входами и соответствующим количеством выходов, один из которых при необходимости выполняет функции альфа-канала. Контроллер допускает одновременную трансляцию двух независимых потоков некомпрессированных видеоданных. Для этого он подключается к машине через скоростной XIO-интерфейс, производительность которого достигает 100Мбайт/с.

Вместе с внешними аналого-цифровыми и цифро-аналоговыми преобразователями промышленного класса OCTANE может эффективно применяться в монтажных и вещательных студиях, использующих различные форматы аналоговых видеосигналов, таких как Betacam, U-matic, S-Video и т.д.

В базовую конфигурацию входит встроенная звуковая карта с аналоговым стереовходом/выходом. Разрядность ее преобразователя составляет 18 бит, а частота квантования находится в переделах от 4 до 50кГц и может плавно регулироваться. Соотношение сигнал/шум в канале не хуже 76dB.

Дополнительно в рабочую станцию на свободный PCI-интерфейс могут устанавливаться оптоволоконный цифровой адаптер ADAT Optical, имеющий восемь 24-битовых звуковых каналов, и двухканальный аудиоконтроллер AESS-1992, соответствующий новому стандарту AES-3id BNC. Всего OCTANE поддерживает до 40 аудиоканалов на трех PCI-платах.

Подсистема ввода/вывода оснащена встроенным контроллером Ethernet 10/100Base-TX, двумя последовательными RS422/RS423 и одним параллельным портом. Количество коммуникационных шлюзов для подключения сетевого и периферийного оборудования может увеличиваться за счет установки дополнительных PCI-адаптеров.

OCTANE собрана в системном блоке оригинальной конструкции. Она оснащается дисплеями Sony Trinitron с экраном 20 или 24 дюйма и разрешением до 1,600 х 1,200 пикселов. Допускается одновременное подключение двух мониторов. В комплекте поставки также трехкнопочная мышь и клавиатура.

OCTANE работает под управлением 64-разрядной операционной системы IRIX 6.4. Ее служба ведения каталогов XFS организована по так называемому "журнальному" принципу, благодаря которому достигается максимальная производительность при выполнении файловых операций и обмене информацией, циркулирующей в корпоративной сети.

IRIX 6.4 включает развитые средства сетевого администрирования и поддерживает протоколы TCP/IP, ISDN/PPP. Дополнительно обеспечивается совместимость с Novell NetWare и Xinet AppleTalk. Обширный набор системных программ и утилит позволяет управлять ресурсами машины, широким набором периферийных устройств и предоставляет удобный доступ в глобальную сеть Internet.

Георгий Озеров


Компьютерная газета. Статья была опубликована в номере 09 за 1997 год в рубрике hard :: pc

©1997-2024 Компьютерная газета